CP/M-Forum

Registrieren || Einloggen || Hilfe/FAQ || Suche || Memberlist || Home || Statistik || Kalender || Staff Willkommen Gast!
[ Unofficial CP/M Website ] [ Z80 Family Support Page ] [ Forum-Regeln ] [ Impressum/Kontakt ] [ Datenschutzerklärung ]

CP/M-Forum » Hardwareprojekte » The Ultimate Z80 SBC » Threadansicht

Autor Thread - Seiten: [ Erste Seite ] ... [ 2 ] [ 3 ] [ 4 ] -5- [ 6 ]
100
31.08.2007, 21:52 Uhr
FanDjango



Hi alle!

zurück aus dem Urlaub - das wäre ja schon mal EIN Erfolg zum Vermelden.

Also: "MELD!".

Ich habe aber ja auch schon Rückschläge vermeldet - kann damit aber im Augenblick nicht dienen:

Denn, das Ärgernis Terminal ist beseitigt. Und zwar relativ nostalgisch und gleichzeitig für Lesebrillenträger machbar.

Man nehme:

1 x 2732 4k EPROM (Miamm Miamm, waren die nicht LIEB? Als wir noch 2708 hatten?)
1 x 6164 8k RAM (oder beliebig größer..., fast genauso LIEB!. Ich habe derzeit ein 64k8 CACHE RAM weil's evtl. vom Footprint kleiner ist)
1 x Xilinx 9572 CPLD PLCC84 (hehe! Spart mit ein TTL Grab)
1 x ATMEL ATMEGA32 (hihi!)

und fertig ist das exakt VGA (640x480x60Hz) taugliche 30Zeilen x 80Spalten Terminal mit nostalgischem 9x16 Char Matrix, PS/2 Kbd Buchse und VGA Buchse. Achso, 25Mhz Quarzoszi versorgt 9572, ATMEGA32 mit 12.5MHz und böte noch Takt für die Z80.

Das ganz passt definitiv mit auf die Z80 Platine, da ich für die Boot ROMs PLCC32 und für das RAM SOP32 nehmen werde - und für den 82C55 auch PLCC44. Verrate ich zuviel wenn ich sage, daß die Z80 wohl auch im PLCC Gehäuse daherkommen wird? (Was ist das gemeinsame Vielfache von 33 und 25?).

Photos morgen. Das ganze ist erst mal auf dem Brotbrett (bei 25MHz Pixeltakt ein Wagnis). Habe noch ein kleineres hausgemachtes Timingproblem zu beseitigen.

Ich plane nicht den vollen VT100 Befehlssatz auf einmal zu schreiben - lediglich erst einmal das WS und TP gedöns. Später mehr. Platz ist im ATMEL genug.

Grüße an alle und hoffe Ihr hattet auch ein Paar ruhige Wochen.
Mike
--
Ich löte noch....

Dieser Post wurde am 31.08.2007 um 22:23 Uhr von FanDjango editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
101
31.08.2007, 22:05 Uhr
FanDjango



Stefan:

mit dem 3S400: 1. FPGA, Laden per noch einem Chip (oder?) 2. Package noch handhabbar?

Wenn Du Tipps oder alle möglichen Hinweise hast, nur zu: Bin lernfähig.

Ich habe das Ziel mit dem 9572(84) erreicht. Kann aber mit dem 288er noch den Character Generator einsparen. Mal sehen wie man das TQFP144 Gehäuse handhaben kann als Alter Dadderich.

Habe aber Respekt vor den BG Packages, will doch nicht "produzieren lassen".

Also, PLCC Gehäuse und Oma-Mäßige (T)SOPs kriege ich noch hin, aber was darüber hinaus geht...

Achso, wenn die Peripherie mehr als 20x teurer wird als der jeweils verwendete Z80 MPU, dann wird's auch lustig.

Dennoch habe ich den eZ80L92 bestellt (LQFP100), will doch nur spielen...

Gruß
Mike
--
Ich löte noch....

Dieser Post wurde am 31.08.2007 um 22:23 Uhr von FanDjango editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
102
31.08.2007, 22:12 Uhr
FanDjango



Rainer:

kannst die Quellen VHDL dann von mir haben. Meine erste Version hatte Vollgrafik und CharGen im ATMEL, das waren echte 640x480 = passt in ein 64kx8 CACHE RAM (Segor Berlin oder alte Mainboards).
Die VHDL ist nicht ganz trivial, da Du eine n-Stufige Pipeline aufbauen muss wegen Delays beim Einholen der Pixeldaten (mit CharGEN EPROM 2732 bis zu 6 Pixeltakte).

Warum mache ich nun mit CHARGEN: Bin an Grafik nicht interessiert + Screen-Updates sind ca. 8x schneller.

Es ist aber lustig mit wenigen VHDL Änderungen ohne die Schaltung zu ändern alle möglichen Auflösungen zu testen, grins! Ein Taschenrechner für's Video Timing und http://www.tkk.fi/Misc/Electronics/faq/vga2rgb/calc.html leisten gute Hilfe

Gruß,
Mike
--
Ich löte noch....

Dieser Post wurde am 31.08.2007 um 22:24 Uhr von FanDjango editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
103
31.08.2007, 22:21 Uhr
FanDjango



Hans-Werner:

Jop. Zum Schluß mache ich eine Platine (so wie vorher, das war ja erst mal zum Üben) und es kommt nur darauf, was man heute kaufen, erbetteln oder stehlen und noch sehen kann. Die MMC und CF Slots werden aber senkrecht montiert oder geflachkabelt mit einer "Steh(Steck)-Platine", sonst reicht der Platz nicht. Ebenso werden ALLE Interface Leitungen (VGA, KBD, VCC, GND) nach draussen auf einer senkrechten "Versorgunsplatine" herangeführt, die dann die Buchsen trägt.

Dadurch wird die Platine so richtig "ordentlich" clean - nur Logik so weit das Auge reicht und ein silberner Quarzoszi. Eine LED, vielleicht, wegen Weihnachten?

Der ganze andere Heckmeck kommt weck.


Aber ich seh's nicht vor dem Ende des Jahres.

Mike.
--
Ich löte noch....

Dieser Post wurde am 31.08.2007 um 22:24 Uhr von FanDjango editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
104
02.09.2007, 12:33 Uhr
proof80




Zitat:
FanDjango postete
Aber ich seh's nicht vor dem Ende des Jahres.

Das reicht ja dann noch für Weihnachten

Gruß, Wolfram
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
105
02.09.2007, 13:24 Uhr
hschuetz

Avatar von hschuetz

Hallo,
schade.. der ganze Heckmeck machte gerade den Charm aus.... und nun wirds fast schon ne PC Kiste... mit hochintegrierten Chips und ne menge -Heckmeck an Software....
Die ganzen Tausendfüssler sind doch nicht mehr Oldtimer.... dann kann man ja gleich einen CPM Emulator nehmen...
Wie gesagt scha(n)de
GRuß
Hans- Werner
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
106
02.09.2007, 13:33 Uhr
HD64180CPM



Hallo Hans- Werner,

da hast Du recht mit den Tausendfüssler, da kann man gleich
CP/M-80 Emulatoren nehmen.

Dann braucht man auch nicht mehr basteln.

Gruss
Rolf
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
107
02.09.2007, 21:10 Uhr
FanDjango



Also,

ich möchte nicht das selbe Teil wie damals bauen und nur deswegen daran Spass haben, daß mein Lötkolben inzwischen besser ist.

Ich programmiere aus beruflichen Gründen seit über 25 Jahren und es macht mir eben Spaß, mal die Hardwareseite zu ertasten. Aber warum soll ich mir die Mühe machen, wieder das gleiche 74LS244 etc. TTL-Grab zu produzieren wie ich es damals gebaut hatte?

Eine Emulation, und davon gibt es täuschend echte, richtig gut gelungen, empfinde ich nicht als spannend. Ich benutze sowas schon, aber nur zu ernsten Zwecken.

Eine Hardware-Entwicklung, die jenseits meiner persönlichen Möglichkeiten liegt, empfinde ich auch nicht als spannend. Dann kann ich ja gleich einen "Entwickler" beauftragen, dass für mich zu machen.

Aber die Grenze auszuloten, die mir persönlich noch möglich ist, das ist ungemein spannend. Für mich. Ich hatte doch vor einem halben Jahr noch keine Ahnung (nachdem ich die Bastel-Szene 25 Jahre lang aus den Augen verloren habe) wo eine solche Grenze zu ziehen ist...

Und die Tausendfüssler die ich am meisten liebe im Augenblick, und das fing bei meiner ersten Platine bereits mit den 3 GAL Bausteinen an, sind die, die mir ermöglichen eine Hardware-Logik-Schaltung zu ersinnen und zu realisieren ohne wieder meine Hals- und Lendenwirbel mit Fädel-Arbeit zu quälen. Es ist eben Schaltungs-Aufbau im Chip drinnen. Und mit Programmieren hat VHDL z.B. weniger zu tun als man meint - es ist eine Schaltungs-Beschreibungssprache und gehorcht einem ganz anderen Paradigma.

Nochmal: Ich will das meiste an Z80 in HARDWARE, was ich mit meinen Mitteln noch zustande bringe. Ein bisschen Overkill darf da ruhig sein (bei mir immer).

Wenn ich einen kompletten Z80 Rechner mitsamt Grafik, RAM und ROM z.B. in einem FPGA unterbringen würde, dann ist das nicht Emulation, sondern Integration und somit für mich tragbar. Blöd nur, daß diese Chips für mich nicht mehr handhabbar sind. Sonst würde ich so ein Teil bauen. Ich kann ja zum Schluß noch ein Paar 74LS74 auf die Platine kleben...

Ich suche halt (ich wiederhol mich, ich weiss) die Grenze - ein weiteres Kriterium ist die Erhältlichkeit der Bauteile. Obwohl es MIR ja reichen würde davon nur EIN Stück zu bauen freut es einen ja doch, wenn andere daraus evtl. noch mehr machen wollen.

Also warten wir doch mal ab was daraus wird. Das einzige was wirklich an der Sache schade ist, ist das die erste Platine dann ein Mauerblümchen dasein fristen wird (und die gefädelte Prototyp Platine auch noch).

Hans-Werner: zur Not findet die eine oder andere der beiden dann ja ein würdiges Gnadenbrot und Zuhause bei Dir? Und charme: Warte doch erst mal ab ob das Teil hübsch wird oder nicht...

Rolf: Basteln "brauch" ich sowieso nicht. Ich bastel jetzt seit 6 Monaten intensiv, freue mich darüber zu berichten und jeder hat da seine eigenen Motivationen. Immerhin bastel ich. Bastel..bastel...bastel... Merkt man doch, oder? Nachdem heute immer weniger Leute basteln, und lieber einkaufen gehen, denke ich mir daß ich schon eine Ausnahme bin. Ein Wiedereinsteiger, sozusagen... und die HD64180 in Deinem Namen ist auch nicht mehr so super alt: 68 Pins, yeah!!! oder noch mehr.
--
Ich löte noch....
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
108
02.09.2007, 23:13 Uhr
hschuetz

Avatar von hschuetz

Hallo Mike,
wenn du das machbare ausloten willst warum nimmst du dann nicht ein von diesen CPLD Boards und programmierst deinen Z80 komplett darauf... und dein Lötkolben kannst du in den Ruhestand schicken! Ich bin nicht grundsätzlich gegen das machbare (beim NDR Rechner gibt es auch jemanden welcher die komplette IO inkl. Grafik in einen CPLD baut (ist auch nur lötbar wenn man professionelle Platinen hat!!)immerhin 144 pin's.... ist alles irgendwie machbar..
nur ätze deine Platine mal selber und versuche das dann mal hinzubekommen, da ist bei 68 pin's Schluss (wenn man Fassungen verwendet)....
Sicher ist mir aufgefallen, dass du bei der ersten schaltung Gal's verwendet hast... ist für den einen oder anderen auch schon ein Problem.....
Interessant wäre schon dein Prototyp mit SD Karte lauffähig unter CPM....
würde ich bauen!!
Ansonsten bei dem was du da jetzt vorhast ist ehrlich gesagt mit Kanonen auf Spatzen schiessen.... und werde wahrscheinlich nicht bauen... und ein Terminal brauche ich auch nicht bauen...sowas hab ich...

Gruß
Hans- Werner
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
109
02.09.2007, 23:26 Uhr
FanDjango



Ist doch kein Thema - das will ja ich bauen. Nicht Du. Ich erzähl ja nur was ich baue. Und höre mir an, das Du das nicht bauen willst, was mir nun klar ist.

Bei 84 Pins oder 108 PLCC ist Schluss, so wie es aussieht. Und da passt das alles nicht rein. Sonst wärs auch schon wieder lustig - ein 108Pin PLCC mit ner z80 drinnen und alles andere auch.

Und weiter oben hatten wir ja mal diskutiert, ob 40Euro oder 80Euro für 'ne profi-Platine noch ok ist.

Und übrigens, egal was man zum Schluss macht: BIOS und andere Sachen bleiben dann immer noch zu erledigen. Der Spaß hört nicht auf... beginnt nur an einer anderen Stelle.

Also wie gesagt, ich guck mal was ich zum Schluss herbeibasteln kann. Entscheiden kann ich das erst wenn ich alle Möglichkeiten ausgelotet habe.

Und vielleicht erzähl ich jetzt erst einmal nicht soviel was ich vorhabe und warte bis ich was habe zum herzeigen. Ich dachte nur, ich hätte bisher schon gezeigt, daß ich vorwärtskomme (ein Prototyp, eine fertige Platine Version 1), dann kann man ja auch mal etwas "träumen".

Gruß,
Mike

Für die SD Karte kann ich Dir ein Teil raus-trennen, daß Du an irgendeinen Seriellen UART Port (RxD, TxD) anschliessen kannst (mit BIOS Calls). Nur ein Chip, leider ein ATMEGAxx, so sorry.
--
Ich löte noch....
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
110
03.09.2007, 09:44 Uhr
proof80




Zitat:
FanDjango postete
... 1 x Xilinx 9572 CPLD PLCC84 ...

Also laut Datenblatt müsste das Teil noch lötbar sein (84 sind halt noch keine Tausend!), vorausgesetzt Du nimmst nicht die SMD-Version der Fassung

Und preislich bleibt das Projekt auch noch im Rahmen, was mir beim Hobby auch nicht unwichtig ist.

Ich kann ja die Berührungsängste verstehen, was die CPLDs angeht, aber irgenwie sind die Steinchen doch auch faszinierend, oder?

Gruß, Wolfram

P.S.: Lass Dich nicht beirren, Mike! Dein Entwurf wird Nachbauer finden
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
111
05.09.2007, 00:06 Uhr
Rainer




Zitat:
hschuetz postete
... und ein Terminal brauche ich auch nicht bauen...sowas hab ich...

wie schön für Hans-Werner ..............
Ich jedoch benötige noch ein Terminal und habe ganz bestimmte Vorstellungen davon , was es
können - und wo es eingebaut werden soll .
Es gibt sicher noch einige Weitere , die von Mike's Experimenten und Arbeiten profitieren , also
bitte nicht beschweren , daß Mike nicht alle Bauteile aus den Urzeiten , sondern handhabbare
aktuelle Teile verwendet .
Und unter dem Gesichtspunkt des Energieverbrauches ist ja wohl auch klar , daß eine
cp/m - Emulation auf dem PC die ungünstigere Variante darstellt .

@Mike
danke , ich werde sicher auf das Angebot mit den VHDL Quellen zurückkommen .
Vermutlich hast Du einen Schaltplan für die Funktionen des CPLD entworfen und darufhin dann diese Konstruction in VHDL umgesetzt ?
Das muß ich erst noch - ich weiß zwar , daß ich einen 16 Bit breiten Adressmultiplexer und
einen 16 Bit Zähler für das Ram brauche , aber das mit den Sync-Pulsen habe ich mir noch
nicht erdacht . Ein 8 Bit Schieberegister um die Ram-Daten zu serialisieren ist auch klar .
Das Ram beschreiben und lesen will ich in den Bildaustastlücken .
Wie hast Du das mit den Sync-Pulsen und dem Zähler denn gemacht ?

mfg Rainer
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
112
05.09.2007, 18:53 Uhr
FanDjango



Ok.

Ich hatte noch ein Paar Lieferungen abgewartet, eine fehlt noch - und habe die nächsten Wochen noch sehr viel andere Arbeit. Perfekt also um über das Design zu grübeln - und Eure Kommentare und Einwände mit einzupassen.

Nachdenken hat noch nie geschadet.

Ich würde gerne zu einigen Themen noch Meinungen (egal welcher Art) hören:

1. Das "Terminal". Es hat 30x80. Mit CharGen Eprom nur Textmodus, ein Bit für Attribut (Hell / Dunkel). Ich will RGB so ansteuern, daß Bernstein (so in etwa) rauskommt. Ich hatte so einen irren Bernstein Monitor von ADI...seufz. Scroll-Geschwindigkeit ist nicht total berühmt. Aber tauglich. So wie damals eben. Alternative: Mit Grafik-Mode ohne CharGen Eprom (also CharGen in der Software) wirds eben 8x langsamer. Meine Z80 Systeme, entgegen dem Sinclair oder CPC hatten keine Grafik. Alternative: statt ATMEL eine echte (Co-)Z80 und Terminal-Programm in Assembler. Mit oder ohne Grafik wie vorher. Macht schon 4 Möglichkeiten. Oder: Kein eigener Prozessor und in den Addressbereich des Haupt-Z80 einblenden (Bank33).

Wer zufällig kein Terminal braucht oder will, kann mal so tun als ob es so wäre.

2. EPROM 2732 (4k) als CharGen oder den wesentlich kleineren PLCC 32 39SF020 (256k). Ich benötige 2k.

3. 8464 RAM (8k) DIP 24 oder CacheRam (64k) DIP 28 oder 628512 (512k) (TSSOP32). Das letztere ist am kleinsten. Benötige 4k.

4. Es wird eine Z8S18033 sein. Einwände? Das ist PLCC44. Alternativ Z8018010.

Grübel grübel. Es gibt noch mehr Fragen. Aber lasst uns mal diskutieren bevor ich wild drauf los bastel.

z.B. Irgendwie passt ein 2732 nicht zu den PLCCs. Bis auf ein Paar RAM Bausteine würden ALLE anderen Bausteine "quadratisch" sein. Das ergäbe nette Möglichkeiten zur optischen Gestaltung (Einen laufenden Z80 Rechner spielt mit sich selbst als Schachbrett Schach oder sowas (war ein Scherz)). RAMs in PLCC habe ich GAR KEINE gefunden.

Grüße,
Mike
--
Ich löte noch....
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
113
05.09.2007, 19:06 Uhr
FanDjango



Rainer:
Am Anfang habe ich mit dem (kostenlosen) ISE von XILINX tatsächlich die Schaltung ("Schematic-Design") meines ersten (16x64) Terminals nachgeverdrahtet. Das kann mann dann umsetzen lassen. Es ist tatsächlich genau wie Schaltplan zeichnen. Ich war echt baff.

Aber das war ne Arbeit. Puh.

Dann suchte ich Schaltpläne von einem 25x80 Terminal, fand aber keines ohne einen CRT Controller (Intel 8237, MC6845) und diese Chips gab es nicht als "Schematik-Primitives".

Ich stieß im www auf ein VHDL Konstrukt etwa wie folgt:


Quellcode:
etc.
hor_ctr = hor_ctr + 1
if hor_ctr > 13 then h_sync = 0 --nach 13 clocks sync ausgang=0
etc.

und siehe das Beispiel einige Posts früher als dieser, dann erkennst Du das Prinzip. (Schau mal auf Seite 4, der Post).

Ich meine: AHA! Die Beschreibung der Schaltung in diesen zwei Zeilen baut der natürlich um auf einen n-Bit-Zähler, der getaktet ist, und baut einen Komparator aus Deus-weiß-wievielen Gattern und erpart mir sogar das Gate plazieren und Leitung ziehen. Danach wurde es nach einigen Experimenten mit einem selbergebasteltem programmierbaren CPLD Prototypbrett sehr einfach. Ich muss jetz doch mal ein Foto machen, verflixt.

Es ist kein Programm, ok? Es läuft auch nicht ab wie ein Programm. Es wird umgesetzt in Logik, die verdrahtet wird. Und Dir gehen GANZ GANZ schnell die verfügbaren Gatter zur Neige, also musst Du ausser bei ganz modernen Bausteinen total aufpassen was Du da (Be)schreibst. SO VIEL SPASS habe ich schon lange nicht mehr gehabt.

Alles was Du brauchst bis auf die Schaltung und das Foto eines CPLD Ausprobier-Teils steht schon in früherem Post.

Und google mal nach VHDL. Da kommt gleich ziemlich vorn ein Link auf VHDL Kompakt oder sowas. Super zum lesen.

Ich hol gleich mal die Kamera, Du wirst es sehen.

Z80, alle Beinchen an das CPLD, erzeugt alle Chip-Selects, alle alles und nachträglich erweitern oder ändern geht auch noch.

Gruß
Mike
--
Ich löte noch....

Dieser Post wurde am 05.09.2007 um 19:21 Uhr von FanDjango editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
114
05.09.2007, 19:17 Uhr
FanDjango



Wolfram:

Stell Dir vor was ich neulich beim Stöbern gelesen habe:

In einem Forum (nicht diesem) fragte jemand verzweifelt: "Ich habe soundsoviele PLCC sockel, leider alle als SMD Version. Muss ich die Wegwerfen?" (oder so ähnlich, grins).

Ich dachte auch, der arme Kerl. Die Lötpads sind ja unten drunter. Kommst nie hin!

Da postet einer: Schneide vorsichtig den sowieso unnützen Plastikboden raus, dann kommst Du von oben-innen super dran, hast keine VIAs und der Router kann die Unterseite frei verwenden, viel besser als bei 2.65 Pin-Loch Version.

Urks! Du lernst nie aus.

Gruß,
Mike
--
Ich löte noch....
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
115
05.09.2007, 19:28 Uhr
proof80




Zitat:
FanDjango postete
Schneide vorsichtig den sowieso unnützen Plastikboden raus, dann kommst Du von oben-innen super dran, hast keine VIAs und der Router kann die Unterseite frei verwenden, viel besser als bei 2.65 Pin-Loch Version.

Wenn das so läuft, ok! Ich schärf schon mal das Messer ...

Übrigens hab ich gegen SMD grundsätzlich nichts einzuwenden, solange man noch mit einer feinen Lötspitze hinkommt und keinen Lötofen braucht. Im Gegenteil, seit ich meine ersten Bausätze in dieser Technik (SMT) zusammengebruzzelt habe, will ich gern mehr davon

Gruß, Wolfram
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
116
05.09.2007, 19:40 Uhr
FanDjango



Hier urls zu Photos:

Die Bildschirmphotos BITTE entschuldigen. Eilig, Blitz, aber man soll ein Eindruck davon bekommen wie scharf, stabil das 30x80 Bild ist.

Was den Breadboardaufbau (Photo) betrifft:

Man erkennt den 2732 EPROM, rechts daneben wäre (schlecht zu sehen) der 8254 8k RAM, und ganz rechts davon der 40-pin ATMEGA32 (fast garnicht zu sehen.

Desweitern vorne den XILINX 9572 in der selber gebauten Testfassung (Mike-DIL80) mit JTAG Interface.

Schräg liegt ein (käuflicher) kleine 9536 mit kleiner grüner Testfassung als DIL40.

Und den DIL80 als Rückseite (ein Abend lang löten).

Und zuletzt der Arbeitsplatz, an dem ich grübele

www.ansynova.de/gaby/DSCN0423.JPG
www.ansynova.de/gaby/DSCN0424.JPG
www.ansynova.de/gaby/DSCN0427.JPG
www.ansynova.de/gaby/DSCN0433.JPG
www.ansynova.de/gaby/DSCN0434.JPG
www.ansynova.de/gaby/DSCN0436.JPG


Sorry, die Dinger sind groß. Ich habe Bandbreite, ich hoffe Ihr auch. Denn ZEIT habe ich weniger um zu verkleinern komprimieren etc.

Gruß
Mike
--
Ich löte noch....

Dieser Post wurde am 05.09.2007 um 19:51 Uhr von FanDjango editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
117
05.09.2007, 19:46 Uhr
FanDjango



Und verpasst mir nicht gleich wieder einen Dämpfer, daß das nicht EMV-gerecht verdrahtet ist.
--
Ich löte noch....
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
118
05.09.2007, 20:02 Uhr
proof80




Zitat:
FanDjango postete
Und den DIL80 als Rückseite (ein Abend lang löten).

Du kannst das Fädeln wohl nicht lassen ?

Das Monitorbild sieht überzeugend aus. Probier doch mal den Blitz abzuschalten und die Kamera irgendwo abzustützen. Müsste auch klappen ...

Gruß, Wolfram

Dieser Post wurde am 05.09.2007 um 20:05 Uhr von proof80 editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
119
05.09.2007, 20:14 Uhr
FanDjango



Wolfram, Du bist echt unverbesserlich. Das was ich links zum Fenster rauswerf, muss ich rechts wieder reinholen: Ich habe noch 4 Rollen Fädeldraht von vor 25 Jahren (!!!!), die waren und sind heute noch: TEUER! Also muss ich die aufbrauchen. Ausserdem: Totale Laien die sowas sehen glauben danach sie wären im Physikunterricht veräppelt worden. Kaum einer kapiert das die Drähte isoliert sind.
Spass beiseite: Ich find Fädeln groovy. Und es geht extrem schnell, zumal ich meine Frau eingespannt habe, mir 72 abgelängte Stück Fädeldraht im voraus zu produzieren, während sie neulich oben auf der Sonnenterasse außer Langeweile nichts vorzuweisen hatte. Sie kam alle 20 Drähte runter und brachte Nachschub. Zwar brauchte ich dann immer eine Weile bis ich wieder fokussieren konnte auf die Platine, aber es war echt eine Hilfe.
--
Ich löte noch....
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
120
05.09.2007, 21:14 Uhr
proof80



Du Glücklicher - das sollte mir mal passieren! Ich muss immer alles alleine machen. Nur wenn ich mal vier oder auch fünf Hände bräuchte, springt sie mit ein ...

Abgesehen davon hast Du Deine Vorräte ja schön ins rechte Licht gerückt. Schon beeindruckend

Ehrlich gesagt, eigentlich beneide ich Dich um dieses Equipment. Beim CF-Interface war's ohne echten Fädeldraht noch 'ne Nummer fummliger!

Gruß, Wolfram
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
121
06.09.2007, 01:47 Uhr
HD64180CPM




Zitat:
FanDjango postete
4. Es wird eine Z8S18033 sein. Einwände? Das ist PLCC44. Alternativ Z8018010.

Mike

Hallo Mike,

Z8S18033 PLCC 68

Z8018010 DIP 64

Z8018010 PLCC 68

Ich habe auch einen eZ80 Acclaim siehe folgenden Link.

http://www.ez80sbc.de/

Gruss
Rolf
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
122
06.09.2007, 08:48 Uhr
FanDjango



Rolf, du hast recht. Ich vergesse immer daß zischen 44 und 84 noch eine PLCC Größe ist..muss in Zukunft anfangen zu Zählen um auf nummer sicher zu gehen.
Das Install Guide von Howard Harte ziehe ich mir gerade rein. Mal schauen was man da für Ideen noch mitnehmen kann.
Scheint ein edles Teil zu sein, aber im Prinzip hat er sich um ein eZ80 Modul herum ein Mutterbrett gemacht. Ich such mal bei digikey ob das noch zu haben ist. 2 ez80 Chips habe ich hier liegen. Wollte sehen in real life wie die evtl. noch in Bastlerhänden zu löten wären (evtl. kleine Adapterplatine oder was auch immer).
Ich sehe gerade:
Webserver-i Ethernet-Modul 269-3166-ND E44.48
Mini-Ethernet-Modul 269-3860-ND† E36.18
eZ80L92 Entwicklungskit 269-3165-ND E310.04
Eines der beiden oberersten wird ja in deinem SBC verwendet. Der Preis ist ja sogar ganz anständig.
Gruß,
Mike
--
Ich löte noch....

Dieser Post wurde am 06.09.2007 um 09:04 Uhr von FanDjango editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
123
07.09.2007, 19:46 Uhr
FanDjango



Vorschlag: Wir beenden nun diesen Thread. Ich tauch jetzt ab und fange mal langsam an hier das umzusetzen, was ich über Euch alles herausgefunden und experimentiert habe.

Melde mich mit konkreten Dingen in einem neuen Thread.

Wenn ich den ez80sbc von Rolf und den Urheber in Augenschein nehme, sollte der neue Thread aber nicht mehr "Ultimate SBC" heissen. Denn den gibt es schon.

Ich neige langsam zu dem neuen Namen "YAZSBC". "Yet another Z80 SBC".

Trotzdem lustig hier dran zu basteln.
--
Ich löte noch....

Dieser Post wurde am 07.09.2007 um 19:46 Uhr von FanDjango editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
124
09.09.2007, 17:14 Uhr
hschuetz

Avatar von hschuetz

Hallo,
un was wird nun aus der "alten SBC"????
Gruß
Hans- Werner
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
Seiten: [ Erste Seite ] ... [ 2 ] [ 3 ] [ 4 ] -5- [ 6 ]     [ Hardwareprojekte ]  



gaby.de

powered by ThWboard 3 Beta 2.84-php5
© by Paul Baecher & Felix Gonschorek