CP/M-Forum

Registrieren || Einloggen || Hilfe/FAQ || Suche || Memberlist || Home || Statistik || Kalender || Staff Willkommen Gast!
[ Unofficial CP/M Website ] [ Z80 Family Support Page ] [ Forum-Regeln ] [ Impressum/Kontakt ] [ Datenschutzerklärung ]

CP/M-Forum » Hardwareprojekte » The Ultimate Z80 SBC » Threadansicht

Autor Thread - Seiten: [ 1 ] [ 2 ] [ 3 ] -4- [ 5 ] [ 6 ]
075
07.08.2007, 10:41 Uhr
proof80



Zu Turbo-Pascal:

tp301a.txt
tp301ad1.sdk
tp301ad2.sdk
tpas30.zip

und zu Wordstar:

WS30.ZIP
Wskpro33.zip
Wskpro33.zip
Wskpro33.zip

Gruß, Wolfram
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
076
07.08.2007, 17:10 Uhr
FanDjango



Wolfram: Das ist ja irre! Danke!

Rolf: Ich check mal den höheren VDE.

Danke!

Da ich ja neulich sorgen geäußert hatte wie oft man trotz deblocking algorithmus 128->512 in eine MMC Karte schreiben kann (300.000 Mal ist ne Menge), sind diese in meinen Augen eher für den Transfer vom PC zum CP/M Kärtle ganz nett.

Und Euer CF Projekt (mit dem abenteurlich beschafften Sockel) macht mich auch mächtig an. Ergo: In meine alten Digital Kamera habe ich noch eine 1GB Hitachi MicroDrive, ja, mit kleiner Festplatte. Die habe ich mir geholt und heute durch eine CF Flash Card ersetzt.

Mal sehen wie sich die kleine Fetzplatte so schlägt...
--
Ich löte noch....
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
077
07.08.2007, 18:48 Uhr
proof80



Hab vergessen, die Dateinamen nach dem Kopieren zu ändern. So ist's richtig:

WS30.ZIP / Wskpro33.zip / WS4.ARK / ws4.txt

Vor allem letzteres ist interessant: Anleitung zum Patchen von WS4 für die richtigen Bildschirmcodes

Als Editor hat mir Andreas Gerlich noch ZDE.COM empfohlen.
Wenn Du wie ich anfangs noch Schwierigkeiten mit den verschiedenen Packformaten hast (man ist ja nur noch zip gewohnt), dann kann ich z.B. pmautoae.txt empfehlen.

Gruß, Wolfram

Dieser Post wurde am 07.08.2007 um 18:48 Uhr von proof80 editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
078
07.08.2007, 18:51 Uhr
proof80




Zitat:
FanDjango postete
Und Euer CF Projekt (mit dem abenteurlich beschafften Sockel) macht mich auch mächtig an. Ergo: In meine alten Digital Kamera habe ich noch eine 1GB Hitachi MicroDrive, ja, mit kleiner Festplatte. Die habe ich mir geholt und heute durch eine CF Flash Card ersetzt.
Mal sehen wie sich die kleine Fetzplatte so schlägt...

Aber aufpassen: Wir steuern die CF im Memory-Mode an, nicht als IDE-Laufwerk!
Es sei denn, Du machst da eh Dein eigenes Ding ...

Gruß, Wolfram

Dieser Post wurde am 07.08.2007 um 18:52 Uhr von proof80 editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
079
07.08.2007, 19:27 Uhr
DG8FAC



Hallo Wolfram,
ich lese schon ne ganze weile mit, wegen CF Card am PROF80
ich habe hier eien O&R cp/M 3.0 Rchnerchen, der ähnelt dem PROF80
Da würde ich gern die HD-Turbine durch ne CF ersetzen :-)
Gibt es Schaltbilder wie Du das Interface an den PROF80 BUS angeschlossen hast ?
Event. könnt ich das an den O&R (Oettle und Reichler) anstricken.

Dann noch zu Mike, ist ein feines Platinchen :-)

Gruß
Stefan
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
080
07.08.2007, 19:33 Uhr
proof80




Zitat:
DG8FAC postete
Gibt es Schaltbilder wie Du das Interface an den PROF80 BUS angeschlossen hast ?
Event. könnt ich das an den O&R (Oettle und Reichler) anstricken.

Hallo Stefan,

klar, müsste gehen. Schau mal unter CF-PROF.

Doku zu Deinem Rechner wäre auch mal interessant. Wenn Du keine eigene HP hast, könnte man das Material in einer Rubrik "Vettern vom PROF" unterbringen

Gruß, Wolfram
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
081
07.08.2007, 19:40 Uhr
FanDjango



Was ist für Euch der Vorteil/Nachteil von Memory Mode oder IDE Mode?

Oooops... Danke Stefan, gerade noch Deinen Post gesehen.

Stefan: Öttle und Reichle? Habe ich da richtig gehört?

Ich habe von 1983 oder so noch eine wunderbare programmierbare Tastatur von Öttle und Reichle, drinnen ist wohl sogar eine eigene Z80. Die KBD hat natürlich Parallel Schnittstelle (damals üblich) und wunderschöne Siemens Tasten (die mit dem runden Kopf). Man konnte auf alle Tasten eigene Sequenzen legen. Mein damaliger Chef hat die mir gegönnt für meinen CP/M Rechner - das Teil kostete damals ca. 800DM. War glaube ich so eine Art Dankeschön für besonderen Einsatz.

Wie lange hat es Ö&R den danach noch gegeben? Und die haben dann auch einen Z-80 Rechner gebaut?
--
Ich löte noch....

Dieser Post wurde am 07.08.2007 um 19:44 Uhr von FanDjango editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
082
07.08.2007, 22:00 Uhr
DG8FAC



Hi wolfram, wegen dem O&R, da werd ich mal das Handbuch zu PDF convertieren.
Bei mir sind das 3 Pltinen, einmal der Z80 mit 128k RAM und 765 FD Controller
dann eine Grafik PLatine mit dem uPD7220 und eine CT HD Card mit dem WD1010
die wollt ich ersetzen durch Deine CF Card Lösung, spart Strom, Wäreme und Lärm :-)
Im moment kämpf ich damit das meine beiden TEAC 55GFR nicht angesprochen werden, hatte eigentlich nur mal die Platinen ausgebaut... nun... never tuch a running system sag ich da nur :-(

Eine HP hab ich schon (www.sr-systems.de) die ist aber weniger geeignet.
Aber ich werd mal ne asukopplung auf dem Server machen :-)
Da kann ich dann die PDFs bereit stellen.

Achja, Mike, Das ist ne gute frage das Handbuch ist von 1983, ich denk mal
so bis 1986 gab es die auf jeden fall.
Meine Tastatur ist übrigens ne normale IBM, ich hab da nen Z80 als "Converter"
missbraucht auf ner lochraster Platine, also Seriel rein und 8Bit Parallel raus.

So, nu weiter nach dem Drive Select suchen :-(

Gruß
Stefan
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
083
07.08.2007, 22:30 Uhr
DG8FAC



Hi Mike,
also ich habe beim wühlen der Unterlagen doch in der Tat die Original Rechnung gefunden, das Kaufdatum ist vom 21.4.1987, also da haben die noch Z80 EPCs
Verkauft, ich hatte damals für die Leerplatine mit PROMs und EPROMs 304,38 DM inkl. 14% MwSt..... man das waren Zeiten...
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
084
07.08.2007, 22:39 Uhr
FanDjango



Unglaublich!
--
Ich löte noch....
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
085
08.08.2007, 18:16 Uhr
proof80




Zitat:
FanDjango postete
Was ist für Euch der Vorteil/Nachteil von Memory Mode oder IDE Mode?

Hallo Mike,

na ich nehme mal an, dass der Memory-Mode einfacher zu implementieren ist

Gruß, Wolfram

P.S.: So ein Argument gilt natürlich nicht für Cracks wie Dich
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
086
08.08.2007, 21:37 Uhr
FanDjango



Nix da Wolfram,

sieh mal die blöden Fragen weiter da oben und dann wer alles geantwortet hat, dann weisst Du wer hier die Cracks sind.

Ich hab nur gefragt, weil ich noch so unentschlossen bin, wie's weitergeht.

CF Interface reitzt mich auch. Toll wär's mit Euch kompatibel zu sein. Also laßt mal den DPH rüberwachsen. Könnt Ihr schon welche im endgültigen Format beschreiben? Dann schicke ich Dir eine die ich danach mal versuchen könnte zu lesen. Ach so, Ihr werdet das etl. YAZE-mäßig machen? Dann wäre der DPH ja ganz vorne mit drauf, oooooder?

Gruß,
Mike
--
Ich löte noch....
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
087
08.08.2007, 21:54 Uhr
DG8FAC



Hi Mike und Wolfram,
Wegen CF, ich habe da mal geschaut, am BUS von meinem O&R würde das passen.
Auch habe ich noch ca. 200 Stck. MB29F040A-12 im PLCC gehäuse rumliegen als alternative zu CF.
Wenn da jemand ne Schaltung hat für ne ECB Platine, dann würd ich da mal schnell ein Platinchen machen... ich mach seit ca 20J. Layouts mit OrCAD und PROTEL :-)

Der MB29F ist Soft und Hard Kompatibel zum Am29F
Das Platinchen könnt man gleich so machen das man wahlweise Bestücken kann
mit CF oder PLLC Chips... weiss nicht ob da genau interesse besteht.
Ich selbder habe 2 ECB Rechnerchen.
Bin übrigens dabei das Handbuch zu Scannen, ich denk mal bis zum Wochenende kann man sich das dann auf meiner ausgelagerten HP ziehn .-)

Gruß
Stefan
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
088
08.08.2007, 22:33 Uhr
proof80




Zitat:
FanDjango postete
Ach so, Ihr werdet das etl. YAZE-mäßig machen? Dann wäre der DPH ja ganz vorne mit drauf, oooooder?

Hallo Mike,

das wäre meiner Idee nach das einfachste: FAT-formatierte CF auf dem PC mit einer entsprechenden YAZE-Disk bespielen, aus dem Header von dieser die Parameter auslesen und ins System einbinden - fertig!

Die IDE-Variante ließe sich mit einem IDE-Interface nach Tilmann Reh (GIDE) und einem Adapter direkt verwirklichen. Aber mangels GIDE sind wir auf die Idee mit den ausgelöteten Steckern und dem Memory-Mode gekommen. Das läuft anwendungstechnisch ab einer bestimmten Ebene auf's gleiche hinaus

Gruß, Wolfram
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
089
08.08.2007, 22:37 Uhr
proof80




Zitat:
DG8FAC postete
Auch habe ich noch ca. 200 Stck. MB29F040A-12 im PLCC Gehäuse rumliegen als Alternative zu CF.
Wenn da jemand ne Schaltung hat für ne ECB Platine, dann würd ich da mal schnell ein Platinchen machen... ich mach seit ca 20J. Layouts mit OrCAD und PROTEL :-)

Der MB29F ist Soft und Hard Kompatibel zum Am29F
Das Platinchen könnt man gleich so machen das man wahlweise Bestücken kann
mit CF oder PLLC Chips... weiss nicht ob da genau interesse besteht.

Hallo Stefan,
Auch daran hätte ich Interesse. Allerdings ist der Stein wohl nur blockweise lösch- bzw. beschreibbar, oder? Ich hab einen im DIL-Gehäuse, aber er liegt jetzt erst mal in der Bastelkiste. CF geht momentan vor.

Gruß, Wolfram
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
090
09.08.2007, 00:28 Uhr
FanDjango



Stefan, sorry - für ECB mache ich wohl so schnell nichts. Läuft alles über AVRs, wo ich ein Entwicklungsboard habe.

Wolfram: Ok, dann würden wir gemeinsam CFs austauschen können. Aber da man ja über den PC die YAZE Disks austauschen kann via einem anderen Medium (z.B. via einer 2ten CF, grins), ist's eigentlich völlig wurscht ob meine CF bei Dir lesbar ist oder umgekehrt. Sie würde es aber wohl sein...

Gute N8
--
Ich löte noch....
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
091
09.08.2007, 10:44 Uhr
proof80




Zitat:
FanDjango postete
Ok, dann würden wir gemeinsam CFs austauschen können. Aber da man ja über den PC die YAZE Disks austauschen kann via einem anderen Medium (z.B. via einer 2ten CF, grins), ist's eigentlich völlig wurscht ob meine CF bei Dir lesbar ist oder umgekehrt. Sie würde es aber wohl sein...

Wobei dann PC mit Internet ob der großen Entfernungen dann das Medium der Stunde wäre

Gruß, Wolfram
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
092
09.08.2007, 11:53 Uhr
DG8FAC



Hi Mike,
nun ich meinte ja auch das man ein Platinchen für den ECB (erst mal uninteressant für Dich) baut auf dem der CF Slot drauf ist und ein ATMEL :-)
Dann kann man die CF´s kompatibel mit dem PC machen. Der ATMEGA spricht mit dem ECB und der CF Card, somit könnte man untereinadner und vor allem mit dem PC Daten tauschen da der ATMEL dank eigener Soft flexibel ist.

Achja Wolfram, stimmt, die dinger lassen sich nur Blockweise löschen :-(
Da braucht es dann wieder etwas Statisches RAm und den ATMEL um das zu steuern, sprich Block ins RAM schieben, Block löschen und neu ins Flash...
Nun ob das so der bringer ist.. man weiss es nicht.... man Forscht noch :-)

Gruß
Stefan
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
093
12.08.2007, 22:08 Uhr
FanDjango



Weil mein am CP/M System angeschlossenes VT100 Programm laufend abstürzt, bin ich gerade dabei zu versuchen mit einem 2KRAM, einem CharGen EPROM (auch 2K) und einem XILINX XC9572 und einem ATMEGA32 ein Terminal zu bauen, das VGA Ausgang hat, PS/2 KBD Eingang hat und mit auf die Z80 Platine passt. Also ein wenig abgelenkt vom Wesentlichen.
Ich sehe schon Linien und Quadrate auf dem Monitor - gewählt habe ich die Auflösung des alten IBM MGA, 720 x 400 (350 war es eigentlich). Gibt 25x80 bei 9x16 char matrix. Der LCD Schirm erkennt es leidlich, man kann es gut einstellen, allerdings muss man es bei meinem Teil manuell machen.

Dann passt aber keine CF Schnittstelle mehr drauf. Und ein BUS-System welcher Art und mehrere Platinen steckbar will ich auch nicht. Hmpf. Das wird eng.

Das von www.tvterminal.de gekaufte Ding hat leider nur 50 Spalten pro Zeile, das ist sehr mau bei CP/M, noch nicht einmal DIR *.* sieht so doll aus. Also ich zieh das durch - nicht jeder hat so ne dolle GRIP am Bus. 4 Chips - oder ich investiere in so einen neumodischen Spartan oder sowas, aber 3.3 oder 2.5 Volt? eeek? Kommt nicht in die Tüte...

Hier die VHDL Datei so wie sie bis jetzt aussieht:


Quellcode:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity clocks is
    Port ( clk30_in     : in     STD_LOGIC;
            pix7           : in     STD_LOGIC;
            pix6           : in     STD_LOGIC;
            pix5           : in     STD_LOGIC;
            pix4           : in     STD_LOGIC;
            pix3           : in     STD_LOGIC;
            pix2           : in     STD_LOGIC;
            pix1           : in     STD_LOGIC;
            pix0           : in     STD_LOGIC;
            vid_out      :out    STD_LOGIC;
            hb_out       : out    STD_LOGIC;
            hs_out       : out    STD_LOGIC;
            vs_out       : out    STD_LOGIC);
end clocks;

architecture Behavioral of clocks is

signal horizontal_counter : STD_LOGIC_VECTOR (9 downto 0) := "0000000000"; -- 0..959
signal vertical_counter   : STD_LOGIC_VECTOR (9 downto 0) := "0000000000"; -- 0..433
signal hb                 : STD_LOGIC := '0';
signal vb                 : STD_LOGIC := '0';
signal gen                : STD_LOGIC_VECTOR (3 downto 0);

begin

process (clk30_in)
begin
  if Rising_Edge(clk30_in) then
    horizontal_counter <= horizontal_counter + "0000000001";

    if horizontal_counter = "0001110000" then -- 70h 112
      hs_out <= '1';
   end if;
    if horizontal_counter = "0010110000" then -- B0h 112+64
      hb <= '1';
        hb_out <= '1';
    end if;
    if horizontal_counter = "1110000000" then -- 380h 960-64
      hb <= '0';
        hb_out <= '0';
    end if;
    if horizontal_counter = "1111000000" then -- 3C0h 960
      horizontal_counter <= "0000000000";
       vertical_counter <= vertical_counter + "0000000001";
      hs_out <= '0';
    end if;

    if vertical_counter = "0000010000" then -- 10h 16
      vs_out <= '1';
    end if;
    if vertical_counter = "0000010100" then -- 14h 16+4
      vb <= '1';
    end if;
    if vertical_counter = "0110100011" then -- 1A3h 434-15
      vb <= '0';
    end if;
    if vertical_counter = "0110110010" then -- 1B2h 434
      vertical_counter <= "0000000000";
      vs_out <= '0';
    end if;
    
    if vb = '1' and hb = '1' then
       gen <= gen + "0001";
        if gen = "1001" then
          gen <= "0000";
        end if;
      case gen is
          when "0000" => vid_out <= pix0;
          when "0001" => vid_out <= pix1;
          when "0010" => vid_out <= pix2;
          when "0011" => vid_out <= pix3;
          when "0100" => vid_out <= pix4;
          when "0101" => vid_out <= pix5;
          when "0110" => vid_out <= pix6;
          when "0111" => vid_out <= pix7;
          when "1000" => vid_out <= pix7;
          when others => vid_out <= '0';
        end case;
     else
       vid_out <= '0';
    end if;
  end if;
  
end process;

end Behavioral;

Naja, es fehlt noch Adressbus rein, Logik rauszus für Austastlücke Vertikal, so daß Memory Zugriffe keine Spuren hinterlassen, etc. Der Testweise verwendete XC9636 ist voll. Also XC9572 als nächstes.

Bei der Gelegenheit habe ich gesehen, das es bei www.opencores.org einen Core names T80 gibt, der einen in VHDL kodierten Z80 in einen XILINX Spartan "neiklatscht". Läuft dann mit 25-35 MHz. Nächste Jahr mal probieren...
vielleicht. In den XC9572, der größte noch 5V Baustein, geht aber nicht viel rein.

Altera mit seinem EPM7128S... wäre noch ein Quäntchen höher.

Reicht aber immer noch nicht für eine Z80.

Mit einem VIRTEX von XILINX könnte ich in den MGA Video Adapter das RAM und das CHARGEN ROM gleich mit rein tun, inklusive VT100 Befehlssatz. Habt Ihr mal gecheckt was ein VIRTEX Chip kostet? Da fädelt man ja lieber.

Jetzt geht's erst mal ein Paar Tage in Urlaub.

Grüße,
Mike
--
Ich löte noch....

Dieser Post wurde am 12.08.2007 um 22:17 Uhr von FanDjango editiert.
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
094
12.08.2007, 22:23 Uhr
proof80



Schönen Urlaub und bis im September!

Gruß, Wolfram
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
095
12.08.2007, 23:04 Uhr
hschuetz

Avatar von hschuetz

Hallo Mike,
jetzt fängst du auch noch mit diesen hochintegrierten Chips an!!
Terminalprogramme gib es wie Sand am Meer... und die funktionieren auch!!
Mach doch erstmal die SBC fertig! Wenn du möchtest, schicke ich dir ein paar Programme welche auch vernünftig funktionieren!!
Gruß
Hans-Werner
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
096
13.08.2007, 19:09 Uhr
FanDjango



Och. Ich will doch nur spielen...
--
Ich löte noch....
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
097
14.08.2007, 00:19 Uhr
hschuetz

Avatar von hschuetz

Hallo Mike,
spielen darfst du, aber wenn wir deine SBC nachbauen wollen, wird dann doch schwierig... Ich denke das dein Konzept schon nicht verkehrt war! Wirst du denn auch Platinen davon machen?.....
Gruß
Hans- Werner
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
098
14.08.2007, 00:48 Uhr
Rainer




Zitat:
hschuetz postete
Hallo Mike,
jetzt fängst du auch noch mit diesen hochintegrierten Chips an!!
Terminalprogramme gib es wie Sand am Meer... und die funktionieren auch!!

ein z80 ist ja wohl auch hochintegriet :-)
und so'n XC9572 ist im PLCC-Gehäuse und läßt sich gut verarbeiten , außerdem ist ein
standalone Terminal was richtig feines .

@Mike
die Idee finde ich prima , ich mache mir auch in dieser Richtung Gedanken , allerdings
in Anlehnung an den Grip3 . Da dieser im Tektronix-Mode 768 * 560 Bildpunkte kann ,
soll das die neue Geschichte auch (800 * 600 SVGA mäßig) .
Da wären 60 KB an SRAM als Bildspeicher ausreichend und ein XC9572 der das Timing
macht .
Den Speicher mit Daten versorgen soll wohl ein z80 oder AVR - mal sehen .
Ich muß allerdings gestehen , daß ich in VHDL absoluter Laie bin .

mfG
Rainer
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
099
14.08.2007, 20:02 Uhr
DG8FAC



Hi Mike,
den Spartan gibt es auch ohne die 3,3V und mit eingebautem Flash.
Wir machen mit den 144 PIN Spartan 3S400 einen kompletten DVB-S/C/T Sender
Also wenn Du da was vorhast, sag bescheid, ich habe alles da für XILINX :-)
Dann einen schönnen Urlaub.

Gruß
Stefan
Seitenanfang Seitenende
Profil || Private Message || Suche Zitatantwort || Editieren || Löschen || IP
Seiten: [ 1 ] [ 2 ] [ 3 ] -4- [ 5 ] [ 6 ]     [ Hardwareprojekte ]  



gaby.de

powered by ThWboard 3 Beta 2.84-php5
© by Paul Baecher & Felix Gonschorek